Book Editorship

  1. Andreas Burg, Ayse K. Coskun, Matthew R. Guthaus, Srinivas Katkoori and Ricardo Reis (Eds.). VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design – 20th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012, Revised Selected Papers, IFIP Advances in Information and Communication Technology 418, Springer, 2013.

Book Chapters

  1. Zihao Yuan, Sherief Reda, and Ayse K. Coskun. Compact Thermal Modeling of Emerging Cooling Technologies for Processors, to appear in Embedded Cooling of Electronic Devices Book. Editors: Madhu Iyengar and Mehdi Asheghi. World Scientific Publishing Company (WSPC), 2023.
  2. Aditya Narayan, Ajay Joshi and Ayse K. Coskun. System-Level Management of Silicon-Photonic Networks in 2.5D Systems, in Silicon Photonics for High Performance Computing and Beyond. Editors: Mahdi Nikdast, Gabriela Nicolescu, Ashkan Seyedi and Sudeep Pasricha. CRC Press, (ISBN: 9780367262143), pp. 71-88, 2021. Publisher’s Page
  3. Tiansheng Zhang, Jonathan Klamkin, Ajay Joshi, and Ayse K. Coskun. Thermal Management of Silicon Photonic NoCs in Many-core Systems, in Photonic Interconnects for Computing Systems. Editors: Mahdi Nikdast, Gabriela Nicolescu, Sébastien Le Beux, and Jiang Xu. River Publishers, (ISBN: 978-8-793-51980-0), pp. 227–248, 2017. Publisher’s Page
  4. Tiansheng Zhang, Fulya Kaplan, and Ayse K. Coskun. Thermal Modeling and Management in 3D Stacked Systems, in Physical Design for 3D Integrated Circuits. Editors: Aida Todri-Sanial, and Chuan Seng Tan. CRC Press, (ISBN: 978-1-498-71036-7), pp. 229–244, 2017. Publisher’s Page
  5. Dhireesha Kudithipudi, Qinru Qu, and Ayse K. Coskun. Thermal Management in Many-Core Systems, in Evolutionary Based Solutions for Green Computing. Editors: Samee U. Khan, Joanna Kolodziej, Juan Li, and Albert Y. Zomaya. Springer-Verlag, New York, USA, (ISBN: Print: 978-3-642-30658-7 Online: 978-3-642-30659-4), pp. 161–185, 2013. Publisher’s Page
  6. Ayse K. Coskun, J. Ayala, D. Atienza, T. Simunic Rosing. Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures. Editors: J. Becker, M. Johann and R. Reis. Springer, VLSI-SoC: Technologies for Systems Integration (ISBN: 978-3-642-23119-3), pp. 34-55, 2009. Publisher’s Page

Journal Papers

  1. Burak Aksar, Efe Sencan, Benjamin Schwaller, Omar Aaziz, Vitus J. Leung, Jim Brandt, Brian Kulis, Manuel Egele, and Ayse K. Coskun. Runtime Performance Anomaly Diagnosis in Production HPC Systems Using Active Learning, in IEEE Transactions on Parallel and Distributed Systems (TPDS) February 2024. PDF
  2. Yijia Zhang, Athanasios Tsiligkaridis, Ioannis Ch. Paschalidis, and Ayse K. Coskun. Data Center and Load Aggregator Coordination Towards Electricity Demand Response. In Sustainable Computing: Informatics and Systems.PDF Jan. 2024
  3. Prachi Shukla, Vasilis F. Pavlidis, Emre Salman, and Ayse K. Coskun TREAD-M3D: Temperature-Aware DNN Accelerators for Monolithic 3D Mobile Systems. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), early access. PDF
  4. Mert Toslali, Emre Ates, Darby Huye, Zhaoqi Zhang, Lan Liu, Samantha Puterman, Ayse K. Coskun, and Raja R. Sambasivan. VAIF: Variance-driven Automated Instrumentation Framework. In ACM SIGOPS Operating Systems Review, vol. 56, no. 1, pp. 42-50, June 2022. PDF
  5. Aditya Narayan, Yvain Thonnart, Pascal Vivet, Ayse Coskun and Ajay Joshi. Architecting Optically-Controlled Phase Change Memory In ACM Transactions on Architecture and Code Optimization (TACO), 2022. PDF
  6. Anthony Byrne, Emre Ates, Ata Turk, Vladimir Pchelin, Sastry S. Duri, Shripad Nadgowda, Canturk Isci, and Ayse Coskun. Praxi: Cloud Software Discovery That Learns From Practice in IEEE Transactions on Cloud Computing, vol. 10, no. 2, pp. 872-884, April-June 2022. PDF GitHub
  7. Zihao Yuan, Prachi Shukla, Sofiane Chetoui, Sean Nemtzow, Sherief Reda, and Ayse K. Coskun. PACT: An Extensible Parallel Thermal Simulator for Emerging Integration and Cooling Technologies. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 4, pp. 1048-1061, April 2022. PDF
  8. Yijia Zhang, Daniel C. Wilson, Ioannis Ch. Paschalidis, and Ayse K. Coskun. HPC Data Center Participation in Demand Response: an Adaptive Policy with QoS Assurance. In IEEE Transactions on Sustainable Computing, vol. 7, no. 1, pp. 157-171, Jan.-March 2022. PDF
  9. Zihao Yuan and Ayse K. Coskun. Neural Network-based Cooling Design for High-performance Processors in iScience, vol. 25, no. 1, pp. 103-582, Jan. 2022. PDF
  10. Krithika Dhananjay, Prachi Shukla, Vasilis F. Pavlidis, Ayse Coskun, and Emre Salman. Monolithic 3D Integrated Circuits: Recent Trends and Future Prospects in IEEE Transactions on Circuits and Systems II (TCAS II): Express Briefs, vol. 68, no. 3, pp. 837-843, March 2021. PDF
  11. Ali Pahlevan, Marina Zapater, Ayse K. Coskun, and David Atienza. ECOGreen: Electricity Cost Optimization for Green Datacenters in Emerging Power Markets in IEEE Transactions on Sustainable Computing, vol. 6, no. 02, pp. 289-305, April 2021. PDF
  12. Aditya Narayan, Yvain Thonnart, Pascal Vivet, and Ayse Coskun. PROWAVES: Proactive Runtime Wavelength Selection for Energy-efficient Photonic NoCs in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 40, no. 10, pp. 2156-2169, Nov. 2020. PDF
  13. Ayse K. Coskun, Furkan Eris, Ajay Joshi, Andrew B. Kahng, Yenai Ma, Aditya Narayan, and Vaishnav Srinivas. Cross-Layer Co-Optimization of Network Design and Chiplet Placement in 2.5-D Systems in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 39, no. 12, pp. 5183-5196, Jan. 2020. PDF
  14. Fulya Kaplan, Mostafa Said, Sherief Reda, and Ayse K. Coskun. LoCool: Fighting Hot Spots Locally for Improving System Energy Efficiency, in 
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)
    , vol. 39, no. 4, pp. 895-908, Feb. 2020. PDF
  15. Onur Sahin, Lothar Thiele, and Ayse K. Coskun. Maestro: Autonomous QoS Management for Mobile Applications under Thermal Constraints, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 38, no. 8, pp. 1557-1570, July 2019. PDF
  16. Monir Zaman, Mustafa M. Shihab, Ayse K. Coskun, Yiorgos Makris. CAPE: a Cross-layer Framework for Accurate Microprocessor Power Estimation, in Elsevier – Integration, vol. 68, pp. 87-98, May 2019. PDF
  17. Ozan Tuncer, Emre Ates, Yijia Zhang, Ata Turk, Jim Brandt, Vitus J. Leung, Manuel Egele, and Ayse K. Coskun. Online Diagnosis of Performance Variation in HPC Systems Using Machine Learning, in IEEE Transactions on Parallel and Distributed Systems (TPDS), vol. 30, no. 4, pp. 883-896, April 2019. PDF
  18. Hao Chen, Yijia Zhang, Michael C. Caramanis, and Ayse K. Coskun. EnergyQARE: QoS-Aware Data Center Participation in Smart Grid Regulation Service Reserve Provision, In ACM Transactions on Modeling and Performance Evaluation of Computing Systems (ToMPECS), vol. 4, no. 1, pp. 1-31, Jan. 2019. PDF
  19. Jose L. Abellan, Ayse K. Coskun, Anjun Gu, Warren Jin, Ajay Joshi, Andrew B. Kahng, Jonathan Klamkin, Cristian Morales, John Recchio, Vaishnav Srinivas, and Tiansheng Zhang. Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic Workload Allocation, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 36, no. 5, pp. 801-814, May 2017. PDF
  20. Can Hankendi, and Ayse Kivilcim Coskun. Scale & Cap: Scaling-Aware Resource Management for Consolidated Multi-threaded Applications, in ACM Transactions on Design Automation of Electronic Systems, vol. 22, no. 2, pp. 1-22, Jan. 2017. PDF
  21. Jie Meng, Eduard Llamosí, Fulya Kaplan, Chulian Zhang, Jiayi Sheng, Martin Herbordt, Gunar Schirner, and Ayse K. Coskun. Communication and cooling aware job allocation in data centers for communication-intensive workloads, in Journal of Parallel and Distributed Computing, vol. 96, pp. 181-193, Oct. 2016. PDF
  22. H. Chen, A. Turk, S. S. Duri, C. Isci, and A. K. Coskun. Automated system change discovery and management in the cloud, in IBM Journal of Research and Development, vol. 60, no. 2, pp. 1-10, March 2016. PDF
  23. Can Hankendi, Ayse Kivilcim Coskun, and Henry Hoffmann. Adapt&Cap: Coordinating System- and Application-Level Adaptation for Power-Constrained Systems, in IEEE Design & Test, vol. 33, no. 1, pp. 68-76, Feb. 2016. PDF
  24. Onur Sahin, and Ayse K. Coskun. On the Impacts of Greedy Thermal Management in Mobile Devices, in IEEE Embedded Systems Letters, vol. 7, no. 2, pp. 55-58, June 2015. PDF
  25. Tiansheng Zhang, Jie Meng, and Ayse K. Coskun. Dynamic Cache Pooling in 3D Multicore Processors, in ACM Journal on Emerging Technologies in Computing Systems, vol. 12, no. 2, pp. 1-21, Sept. 2015. PDF
  26. Andrea Bartolini, Can Hankendi, Ayse Kivilcim Coskun, and Luca Benini. Message Passing-Aware Power Management on Many-Core Systems, in Journal of Low Power Electronics, vol. 10, no. 4, pp. 531-549, Dec. 2014. PDF
  27. Marina Zapater, Ozan Tuncer, Jose L. Ayala, Jose M. Moya, Kalyan Vaidyanathan, Kenny Gross, and Ayse K. Coskun. Leakage-Aware Cooling Management for Improving Server Energy Efficiency, in IEEE Transactions on Parallel and Distributed Systems, vol. 26, no. 10, pp. 2764-2777, Oct. 2015. PDF
  28. Jie Meng, Samuel McCauley, Fulya Kaplan, Vitus J. Leung, and Ayse K. Coskun. Simulation and optimization of HPC job allocation for jointly reducing communication and cooling costs, in Sustainable Computing: Informatics and Systems, vol. 6, pp. 48-57, June 2015. PDF
  29. Priti Aghera, Jinseok Yang, Piero Zappi, Dilip Krishnaswamy, Ayse Coskun, and Tajana Simunic Rosing. Energy Management in Wireless Mobile Systems Using Dynamic Task Assignment, in Journal of Low Power Electronics, vol. 9, no. 2, pp. 198-217, Aug. 2013. PDF
  30. Can Hankendi, and Ayse K. Coskun. Autonomous Resource Sharing for Multi-threaded Workloads in Virtualized Servers, in VMware Technical Journal, vol. 2, no. 2, pp. 54-59, June 2013. Invited PDF
  31. Mohamed M. Sabry, Arvind Sridhar, Jie Meng, Ayse K. Coskun, and David Atienza. GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, no. 4, pp. 524-537, April 2013. PDF
  32. Sherief Reda, Ryan Cochran, and Ayse K. Coskun. Adaptive Power Capping for Servers with Multithreaded Workloads, in IEEE Micro, vol. 32, no. 5, pp. 64-75, Sept. 2012. PDF
  33. Mohamed M. Sabry, Ayse K. Coskun, David Atienza, Tajana Šimunić Rosing, and Thomas Brunschwiler. Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 12, pp. 1883-1896, Dec. 2011. PDF
  34. Ayse Coskun, David Atienza, Mohamed Sabry, and Jie Meng. Attaining Single-Chip, High-Performance Computing through 3D Systems with Active Cooling, in IEEE Micro, vol. 31, no. 4, pp. 63-75, July 2011. PDF
  35. Ayse Kivilcim Coskun, Tajana Simunic Rosing, and Kenny C. Gross. Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 10, pp. 1503-1516, Oct. 2009. PDF
  36. A.K. Coskun, T.T. Rosing, K.A. Whisnant, and K.C. Gross. Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs, in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, no. 9, pp. 1127-1140, Sept. 2008. PDF
  37. Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kresimir Mihic, Giovanni De Micheli, and Yusuf Leblebici. Analysis and Optimization of MPSoC Reliability, in Journal of Low Power Electronics, vol. 2, no. 1, pp. 56-69, April 2006. PDF

Conference Papers

  1. Ayse K. Coskun, Fatih Acun, Quentin Clark, Can Hankendi, Daniel C. Wilson. Data Center Demand Response for Sustainable Computing: Myth or Opportunity?. Design Automation and Test in Europe (DATE’24), March 2024. (Invited Paper) PDF
  2. Krithika Dhananjay, Vasilis F. Pavlidis, Ayse K. Coskun, Emre Salman. Enhanced Detection of Thermal Covert Channel Attacks in Multicore Processors. International Symposium on Quality Electronic Design (ISQED’24), Feb. 2024. PDF
  3. Burak Aksar, Efe Sencan, Benjamin Schwaller, Omar Aaziz, Vitus j. Leung, Jim Brandt, Brian Kulis, Manuel Egele, and Ayse K. Coskun. Prodigy: Towards Unsupervised Anomaly Detection in Production HPC SystemsThe International Conference on High Performance Computing, Network, Storage, and Analysis (SC 2023), Nov. 2023. PDF
  4. Guowei Yang, Cansu Demirkiran, Zeynep Ece Kizilates, Carlos A. Ríos Ocampo, Ayse K. Coskun, and Ajay Joshi. Processing-in-Memory Using Optically-Addressed Phase Change Memory. In ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2023. PDF
  5. Prachi Shukla, Derrick Aguren, Tom Burd, Ayse K. Coskun, and John Kalamatianos. Temperature-Aware Sizing of Multi-Chip Module Accelerators for Multi-DNN Workloads. In Design, Automation & Test in Europe Conference (DATE), 2023. PDF
  6. Daniel C. Wilson, Ioannis Paschalidis, and Ayse K. Coskun. Site-Wide HPC Data Center Demand Response. In High Performance Extreme Computing Conference (HPEC), Sept. 2022. PDF
  7. Burak Aksar, Efe Sencan, Benjamin Schwaller, Omar Aaziz, Vitus J. Leung, Jim Brandt, Brian Kulis, and Ayse K. Coskun. ALBADross: Active Learning Based Anomaly Diagnosis for Production HPC Systems . In IEEE International Conference on Cluster Computing (Cluster) , July 2022. PDF
  8. Carlton Knox, Zihao Yuan, and Ayse K. Coskun. Machine Learning and Simulation Based Temperature Prediction on High-performance Processors. In Proceedings of ASME International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems (InterPACK), July 2022. PDF
  9. Anthony Byrne, Yanni Pang, Allen Zou, Shripad Nadgowda, and Ayse K. Coskun. MicroFaaS: Energy-efficient Serverless on Bare-metal Single-board Computers. In Design, Automation and Test in Europe Conference (DATE), Mar. 2022. PDF
  10. Mert Toslali, Emre Ates, Alex Ellis, Zhaoqi Zhang, Darby Huye, Lan Liu, Samantha Puterman, Ayse K. Coskun, and Raja R. Sambasivan. Automating instrumentation choices for performance problems in distributed applications with VAIF. In Proceedings of the ACM Symposium on Cloud Computing. Association for Computing Machinery, Nov. 2021. PDF
  11. Mert Toslali, Srinivasan Parthasarathy, Fabio Oliveira, Hai Huang, and Ayse K. Coskun. Iter8: Online Experimentation in the Cloud. In Proceedings of the ACM Symposium on Cloud Computing, Nov. 2021. PDF
  12. Zihao Yuan, Tao Zhang, Jeroen Van Duren, and Ayse K. Coskun. Efficient Thermal Analysis of Lab-Grown Diamond Heat Spreaders. In Proceedings of ASME International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems (InterPACK), Nov. 2021. PDF
  13. Yijia Zhang, Burak Aksar, Omar Aaziz, Benjamin Schwaller, Jim Brandt, Vitus J. Leung, Manuel Egele, and Ayse K. Coskun. Using Monitoring Data to Improve HPC Performance via Network-Data-Driven Allocation. In High Performance Extreme Computing Conference (HPEC), Sept. 2021. PDF
  14. Burak Aksar, Benjamin Schwaller, Omar Aaziz, Vitus J. Leung, Jim Brandt, Manuel Egele, and Ayse K. Coskun. E2EWatch: An End-to-end Anomaly Diagnosis Framework for Production HPC Systems. In International European Conference on Parallel and Distributed Computing (Euro-Par), August 2021. PDF
  15. Burak Aksar, Yijia Zhang , Emre Ates, Benjamin Schwaller, Omar Aaziz, Vitus J. Leung, Jim Brandt, Manuel Egele, and Ayse K. Coskun. Proctor: A Semi-Supervised Performance Anomaly Diagnosis Framework for Production HPC Systems. In International Supercomputing Conference (ISC-HPC), June 2021. PDF
  16. Emre Ates, Burak Aksar, Vitus J. Leung, and Ayse K. Coskun. Counterfactual Explanations for Multivariate Time Series. In Proceedings of IEEE International Conference on Applied Artifical Intelligence (ICAPAI), May 2021. PDF
  17. Daniel C. Wilson, Siddhartha Jana, Aniruddha Marathe, Stephanie Brink, Christopher M. Cantalupo, Diana R. Guttman, Brad Geltz, Lowren H. Lawson, Asma H. Al-rawi, Ali Mohammad, Fuat Keceli, Federico Ardanaz, Jonathan M. Eastep, Ayse K. Coskun. Introducing Application Awareness Into a Unified Power Management Stack. In Proceedings of IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp. 320-329, May 2021. PDF
  18. Yijia Zhang, Daniel C. Wilson, Ioannis Ch. Paschalidis, and Ayse K. Coskun. A Data Center Demand Response Policy for Real-World Workload Scenarios in HPC. In Design, Automation and Test in Europe Conference (DATE), 2021. PDF Recorded Presentation
  19. Prachi Shukla, Sean S. Nemtzow, Vasilis F. Pavlidis, Emre Salman, and Ayse K. Coskun. Temperature-Aware Optimization of Monolithic 3D Deep Neural Network Accelerators. In Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 709-714, 2021. PDF
  20. Athanasios Tsiligkaridis, Ioannis Ch. Paschalidis, and Ayse Coskun. Coordinated Demand Response By Data Centers Using Inverse Optimization. In 2020 IEEE International Conference on Communications, Control, and Computing Technologies for Smart Grids (SmartGridComm), pp. 1-7, 2020. PDF
  21. Aditya Narayan, Ajay Joshi and Ayse Coskun. Bandwidth Allocation in Silicon-Photonic Networks Using Application Instrumentation. In High Performance Extreme Computing Conference (HPEC), pp. 1-2, 2020. PDF
  22. Yijia Zhang, Taylor Groves, Brandon Cook, Nicholas J. Wright, and Ayse K. Coskun. Quantifying the impact of network congestion on application performance and network metrics. In IEEE International Conference on Cluster Computing (Cluster), pp. 162-168, 2020. PDF Recorded Presentation
  23. Yvain Thonnart, Stephane Bernabe, Jean Charbonnier, Christian Bernard, David Coriat, Cesar Fuguet, Pierre Tissier, Benoit Charbonnier, Stephane Malhouitre, Damien Saint-Patrice, Myriam AssousAditya NarayanAyse Coskun, D. Dutoi and P. Vivet. POPSTAR: A Robust Modular Optical NoC Architecture for Chiplet-based 3D Integration Systems. In Proceedings of Design, Automation and Test in Europe (DATE), pp. 1456-1461, 2020(Invited paper) PDF
  24. Aditya Narayan, Yvain Thonnart, Pascal Vivet, Ajay Joshi, and Ayse Coskun. System-level Evaluation of Chip-Scale Silicon-Photonic Networks for Emerging Data-Intensive Applications. In Proceedings of Design, Automation and Test in Europe (DATE), pp. 1444-1449, 2020(Invited paper) PDF
  25. Zihao Yuan, Geoffrey Vaartstra, Prachi Shukla, Zhengmao Lu, Evelyn Wang, Sherief Reda, and Ayse K. Coskun. A Learning-Based Thermal Simulation Framework for Emerging Two-Phase Cooling Technologies. In Proceedings of Design, Automation and Test in Europe (DATE), pp. 400-405, 2020. Best Paper Nominee. PDF Erratum
  26. Onur Sahin, Assel Aliyeva, Hariharan Mathavan, Ayse K. Coskun, Manuel Egele. RANDR: Record and Replay for Android Applications via Targeted Runtime Instrumentation. In IEEE/ACM International Conference on Automated Software Engineering (ASE), pp. 128-138, Nov. 2019. PDF
  27. Emre Ates, Lily Sturmann, Mert Toslali, Orran Krieger, Richard Megginson, Ayse K. Coskun, and Raja R. Sambasivan. An automated, cross-layer instrumentation framework for diagnosing performance problems in distributed applications. In ACM Symposium on Cloud Computing (SoCC ’19), pp. 165-170, Nov. 2019. PDF
  28. Emre Ates, Yijia Zhang, Burak Aksar, Jim Brandt, Vitus J. Leung, Manuel Egele, and Ayse K. Coskun. HPAS: An HPC Performance Anomaly Suite for Reproducing Performance Variations. In International Conference on Parallel Processing (ICPP 2019), pp. 1-10, Aug. 2019. PDF GitHub
  29. Zihao Yuan, Geoffrey Vaartstra, Prachi Shukla, Sherief Reda, Evelyn Wang, and Ayse K. Coskun. Modeling and Optimization of Chip Cooling with Two-Phase Vapor Chambers. In IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 1-6, Jul. 2019. PDF
  30. Athanasios Tsiligkaridis, Ioannis Ch. Paschalidis, and Ayse K. Coskun. Data Center Demand Response Pricing Using Inverse Optimization. In ACM International Conference on Future Energy Systems (e-Energy), pp. 400-402, Jun. 2019. PDF
  31. Yijia Zhang, Ioannis Ch. Paschalidis, and Ayse K. Coskun. Data Center Participation in Demand Response Programs with Quality-of-Service Guarantees. In ACM International Conference on Future Energy Systems (e-Energy), pp. 285-302, Jun. 2019. PDF
  32. Prachi Shukla, Ayse K. Coskun, Vasilis F. Pavlidis, and Emre SalmanAn Overview of Thermal Challenges and Opportunities for Monolithic 3D ICs. In ACM Great Lakes Symposium on VLSI (GLSVLSI)pp. 439-444, May 2019. PDF
  33. Zihao Yuan, Geoffrey Vaartstra, Prachi Shukla, Mostafa Said, Sherief Reda, Evelyn Wang, and Ayse K. Coskun. Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips. In 19th IEEE Intersociety Conference on Thermal and Thermomechnanical Phenomena in Electronic Systems (ITHERM), pp. 456-464, May 2019. PDF
  34. Aditya Narayan, Yvain Thonnart, Pascal Vivet, Cesar Fuguet Tortolero, and Ayse K. Coskun. WAVES: Wavelength Selection for Power-Efficient 2.5D-Integrated Photonic NoCs. In Design, Automation and Test in Europe (DATE), pp. 516-521, Mar. 2019. PDF
  35. Ayse Coskun, Furkan Eris, Ajay Joshi, Andrew B. Kahng, Yenai Ma, and Vaishnav Srinivas. A Cross-Layer Methodology for Design and Optimization of Networks in 2.5D Systems.  In International Conference on Computer-Aided Design (ICCAD), pp. 1-8, Nov. 2018PDF
  36. Yijia Zhang, Ozan Tuncer, Athanasios Tsiligkaridis, Michael Caramanis, Ioannis Ch. Paschalidis, and Ayse K. Coskun. Experiments on Data Center Participation in Demand Response Programs. In IEEE High Performance Extreme Computing Conference (HPEC), Sept. 2018. PDF
  37. Qingqing Xiong, Emre Ates, Martin C. Herbordt and Ayse K. Coskun. Tangram: Colocating HPC Applications with Oversubscription. In IEEE High Performance Extreme Computing Conference (HPEC), pp. 1-7, Sept. 2018. PDF
  38. Onur Sahin, Ayse K. Coskun and Manuel Egele. Proteus: Detecting Android Emulators from Instruction-level Profiles. In International Symposium on Research in Attacks, Intrusions, and Defenses (RAID), pp. 3-24, Sept. 2018. PDF
  39. Emre Ates, Ozan Tuncer, Ata Turk, Vitus J. Leung, Jim Brandt, Manuel Egele and Ayse K. Coskun. Taxonomist: Application Detection through Rich Monitoring Data. In International European Conference on Parallel and Distributed Computing (Euro-Par), pp. 92-105, Aug. 2018. Best Artifact AwardPDF Artifact
  40.  Artem Andreev, Fulya Kaplan, Marina Zapater, Ayse K. Coskun, David Atienza. Design Optimization of 3D Multi-Processor System-on-Chip with Integrated Flow Cell Arrays. In ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), Article 42, pp. 1-6, July. 2018. PDF
  41. Monir Zaman, Mustafa M. Shibab, Ayse K. Coskun and Yiorgos Makris. Towards a Cross-Layer Framework for Accurate Power Modeling of Microprocessor Designs. In International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 229-236, July. 2018. PDF
  42.  Aditya Narayan, Tiansheng Zhang, Shaizeen Aga, Satish Narayanasamy and Ayse K. Coskun. MOCA: Memory Object Classification and Allocation in Heterogeneous Memory Systems. In Proceedings of IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp. 326-335, May. 2018. PDF
  43. Yijia Zhang, Ozan Tuncer, Fulya Kaplan, Katzalin Olcoz, Vitus J. Leung, and Ayse K. Coskun. Level-Spread: A New Job Allocation Policy for Dragonfly Networks. In Proceedings of IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp. 1123-1132, May. 2018. PDF
  44.  Furkan Eris, Ajay Joshi, Andrew B. Kahng, Yenai Ma, Saiful Mojumder, and Tiansheng Zhang. Leveraging Thermally-Aware Chiplet Organization in 2.5D Systems to Reclaim Dark Silicon. In Proceedings of IEEE Design, Automation and Test in Europe (DATE), pp. 1441-1446, Mar. 2018PDF
  45.  Ozan Tuncer, Yijia Zhang, Vitus J. Leung, and Ayse K. Coskun. Task Mapping on a Dragonfly Supercomputer. In Proceedings of IEEE High Performance Extreme Computing Conference (HPEC), Aug. 2017. PDF
  46. Ozan Tuncer, Emre Ates, Yijia Zhang, Ata Turk, Jim Brandt, Vitus Leung, Manuel Egele, and Ayse K. Coskun. Diagnosing Performance Variations in HPC Applications using Machine Learning. In International Supercomputing Conference (ISC-HPC), pp. 355-373, Jun. 2017. Gauss AwardPDF
  47.  Fulya Kaplan, Sherief Reda, and Ayse K. Coskun. Fast Thermal Modeling of Liquid, Thermoelectric, and Hybrid Cooling. In Proceedings of IEEE Intersociety Conference on Thermal and Thermomechnanical Phenomena in Electronic Systems (ITHERM), pp. 726-735, May 2017. PDF
  48.  Fulya Kaplan, Ozan Tuncer, Vitus J. Leung, Scott K. Hemmert, and Ayse K. Coskun. Unveiling the Interplay Between Global Link Arrangements and Network Management Algorithms on Dragonfly Networks. In Proceedings of IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid), pp. 325-334, May 2017. PDF
  49.  Ata Turk, Hao Chen, Anthony Byrne, John Knollmeyer, Sastry S. Duri, Canturk Isci, and Ayse K. Coskun. DeltaSherlock: Identifying Changes in the Cloud. In Proceedings of IEEE International Conference on Big Data (Big Data), pp. 763-772, Dec. 2016. PDF
  50.  Onur Sahin and Ayse K. Coskun. QScale: Thermally-Efficient QoS Management on Heterogeneous Mobile Platforms. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-8, Nov. 2016. PDF
  51. Onur Sahin and Ayse K. Coskun. Providing Sustainable Performance in Thermally Constrained Mobile Devices. In Proceedings of ACM/IEEE Embedded Systems for Real-time Multimedia (ESTIMedia), pp. 72-77, Oct. 2016. PDF (Invited Paper)
  52.  Ayse K. Coskun, Anjun Gu, Warren Jin, Ajay Joshi, Andrew B. Kahng, Jonathan Klamkin, Yenai Ma, John Recchio, Vaishnav Srinivas and Tiansheng Zhang. Cross-layer Floorplan Optimization for Silicon Photonic NoCs In Many-core Systems. In Proceedings of IEEE Design, Automation and Test in Europe (DATE), pp. 1309-1314, Mar. 2016. PDF
  53. Marina Zapater, Ata Turk, Jose M. Moya, Jose L. Ayala, and Ayse K. Coskun. Dynamic workload and cooling management in High-Efficiency data centers. In Proceedings of IEEE International Green and Sustainable Computing Conference (IGSC), pp. 1-8, Dec. 2015. PDF
  54. Hao Chen, Bowen Zhang, Michael C. Caramanis, and Ayse K. Coskun. Data Center Optimal Regulation Service Reserve Provision with Explicit Modeling of Quality of Service Dynamics. In Proceedings of IEEE Conference on Decision and Control (CDC), pp. 7207-7213, Dec. 2015. PDF
  55. Hao Chen, Zhenhua Liu, Ayse K. Coskun, and Adam Wierman. Optimizing Energy Storage Participation in Emerging Power Markets. In Proceedings of IEEE International Green and Sustainable Computing Conference (IGSC), pp. 1-6, Dec. 2015. PDF
  56. Onur Sahin, Paul T. Varghese, and Ayse K. Coskun. Just Enough is More: Achieving Sustainable Performance in Mobile Devices under Thermal Limitations. In Proceedings of International Conference on Computer-Aided Design (ICCAD), pp. 839-846, Nov. 2015. PDF
  57. Charlie De Vivero, Fulya Kaplan, and Ayse K. Coskun. Experimental Validation of a Detailed Phase Change Model on a Hardware Testbed. In Proceedings of ASME International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems and ASME International Conference on Nanochannels, Microchannels, and Minichannels, pp. V001T09A086, Jul. 2015. PDF
  58. Fulya Kaplan and Ayse K. Coskun. Adaptive Sprinting: How to Get the Most Out of Phase Change Based Passive Cooling. In Proceedings of International Symposium on Low Power Electronics and Design, pp. 37-42, Jul. 2015.PDF
  59. Ozan Tuncer, Vitus J. Leung and Ayse K. Coskun. PaCMap: Topology Mapping of Unstructured Communication Patterns onto Non-contiguous Allocations. In Proceedings of International Conference on Supercomputing (ICS), pp. 37-46, Jun. 2015. PDF
  60. Hao Chen, Sastry S. Duri, Vasanth Bala, Nilton T. Bila, Canturk Isci and Ayse K. Coskun. Detecting and Identifying System Changes in the Cloud via Discovery by Example. In Proceedings of IEEE International Conference on Big Data, pp. 90-99, Oct. 2014. PDF
  61. Fulya Kaplan, Charlie De Vivero, Samuel Howes, Manish Arora, Houman Homayoun, Wayne Burleson, Dean Tullsen and Ayse K. Coskun. Modeling and Analysis of Phase Change Materials for Efficient Thermal Management. In Proceedings of IEEE International Conference on Computer Design (ICCD), pp. 256-263, Oct. 2014.PDF
  62. Ozan Tuncer, Kalyan Vaidyanathan, Kenny Gross and Ayse K Coskun. CoolBudget: Data Center Power Budgeting with Workload and Cooling Asymmetry Awareness. In Proceedings of IEEE International Conference on Computer Design (ICCD), pp. 497-500, Oct. 2014. PDF
  63. Hao Chen, Michael Caramanis, and Ayse K. Coskun. Reducing the Data Center Electricity Costs Through Participation in Smart Grid Programs. In Proceedings of International Green Computing Conference (IGCC), pp. 1-10, Nov. 2014. PDF (Invited Special Session Paper)
  64. Raphael Landaverde, Tiansheng Zhang, Ayse K. Coskun, and Martin Herbordt. An Investigation of Unified Memory Access Performance in CUDA. In Proceedings of IEEE High Performance Extreme Computing Conference (HPEC), pp. 1-6, Sep. 2014. PDF
  65. Chao Chen, Tiansheng Zhang, Pietro Contu, Jonathan Klamkin, Ayse K. Coskun, and Ajay Joshi. Sharing and Placement of On-chip Laser Sources in Silicon-Photonic NoCs. In Proceedings of International Symposium on Network-on-Chip (NOCS), pp. 88-95, Sep. 2014. PDF
  66. Tiansheng Zhang, Jose Abellan, Ajay Joshi, and Ayse K. Coskun. Thermal Management of Manycore Systems with Silicon-Photonic Networks. In Proceedings of Design, Automation and Test in Europe (DATE), pp. 1-6 , Mar. 2014. PDF
  67. Hao Chen, Michael Caramanis, and Ayse K. Coskun. The Data Center as a Grid Load Stabilizer. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 105-112, Jan. 2014. PDF (Invited Special Session Paper)
  68.  Hao Chen, Ayse K. Coskun, and Michael Caramanis. Real-Time Power Control of Data Centers for Providing Regulation Service. In Proceedings of the Conference on Decision and Control (CDC), pp. 4314-4321, Dec. 2013. PDF
  69.  Hao Chen, Can Hankendi, Michael Caramanis, and Ayse K. Coskun. Dynamic Server Power Capping for Enabling Data Center Participation in Power Markets. In Proceedings of International Confrence on Computer Aided Design (ICCAD), pp. 122-129, Nov. 2013. PDF
  70. Jie Meng, Tiansheng Zhang, and Ayse K. Coskun. Dynamic Cache Pooling for Improving Energy Efficiency in 3D Stacked Multicore Processors. In Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp. 210-215, Oct. 2013. PDF
  71. Can Hankendi, Sherief Reda, and Ayse K. Coskun. vCap: Adaptive Power Capping for Virtualized Servers. In Proceedings of ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 415-420, Sept. 2013. PDF
  72. Fulya Kaplan, Jie Meng, and Ayse K. Coskun. Optimizing Communication and Cooling Costs in HPC Data Centers via Intelligent Job Allocation. In Proceedings of International Green Computing Conference (IGCC), pp. 1-10, Jun. 2013. PDF
  73. Can Hankendi, and Ayse K. Coskun. Energy-Efficient Server Consolidation for Multi-threaded Applications in the Cloud. In International Green Computing Conference Proceedings (IGCC), pp. 1-8, Jun. 2013. PDF
  74. David Mace, Wei Gao, and Ayse K. Coskun. Accelerometer-Based Hand Gesture Recognition using Feature Weighted Naïve Bayesian Classifiers and Dynamic Time Warping. In ACM International Conference on Intelligent User Interfaces (IUI), pp. 83-84, 2013. PDF
  75. Tiansheng Zhang, Alessandro Cevrero, Giulia Beanato, Panagiotis Athanasopoulos, Ayse K. Coskun and Yusuf Leblebici. 3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling. In Proceedings of Design Automation and Test in Europe (DATE), pp. 1241-1246, Mar. 2013. PDF
  76. Marina Zapater, José L. Ayala, José M. Moya, Kalyan Vaidyanathan, Kenny Gross, and Ayse K. Coskun. Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers. In Proceedings of Design Automation and Test in Europe (DATE), pp. 266-269, Mar. 2013. PDF
  77. Jie Meng, Fulya Kaplan, Mingyu Hsieh, and Ayse K. Coskun. Topology-Aware Reliability Optimization for Multiprocessor Systems. In Proceedings of IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp. 243-248, Sep. 2012. PDF
  78. Jie Meng, Katsutoshi Kawakami, and Ayse K. Coskun. Optimizing Energy Efficiency of 3D Multicore Systems with Stacked DRAM under Power and Thermal Constraints. In Proceedings of Design Automation Conference (DAC), pp. 648-655, 2012. PDF
  79. Jie Meng and Ayse K. Coskun. Analysis and Runtime Management of 3D Systems with Stacked DRAM for Boosting Energy Efficiency. In Proceedings of Design Automation and Test in Europe (DATE), pp. 611-616, 2012. PDF
  80. Andrea Bartolini, MohammadSadegh Sadri, John-Nicholas Furst, Ayse K. Coskun, and Luca Benini. Quantifying the Impact of Frequency Scaling on the Energy Efficiency of the Single-Chip Cloud Computer. In Proceedings of Design Automation and Test in Europe (DATE), pp. 181-186, 2012. PDF
  81. Can Hankendi and Ayse K. Coskun. Reducing the Energy Cost of Computing through Efficient Co-Scheduling of Parallel Workloads. In Proceedings of Design Automation and Test in Europe (DATE), pp. 994-999, 2012. PDF
  82. Ming-Yu Hsieh, Jie Meng, Michael Levenhagen, Kevin Pedretti, Ayse K. Coskun, and Arun Rodrigues. SST + gem5 = A Scalable Simulation Infrastructure for High Performance Computing. In 5th International ICST Conference on Simulation Tools and Techniques (SIMUTools), pp. 196-201, 2012. PDF
  83. Ryan Cochran, Can Hankendi, Ayse K. Coskun, and Sherief Reda. Pack & Cap: Adaptive DVFS and Thread Packing Under Power Caps. In 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-44), pp. 175-185, 2011. PDF
  84. Ryan Cochran, Can Hankendi, Ayse K. Coskun, and Sherief Reda. Identifying the Optimal Energy-Efficient Operating Points of Parallel Workloads. In Proceedings of International Conference on Computer-Aided Design (ICCAD), pp. 608-615, 2011. PDF
  85. Chao Chen, Jie Meng, Ayse K. Coskun, and Ajay Joshi. Express Virtual Channels with Taps (EVC-T): A Flow Control Technique for Network-on-Chip (NoC) in Manycore Systems. In IEEE Hot Interconnects, Annual Symposium on High-Performance Interconnects, pp. 1-10, 2011. PDF
  86. Jie Meng, Chao Chen, Ayse K. Coskun, and Ajay Joshi. Run-time Energy Management of Manycore Systems through Reconfigurable Interconnects. In Proceedings of ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 43-48, 2011. PDF
  87. Mohamed M. Sabry, David Atienza, and Ayse K. Coskun. Thermal Analysis and Active Cooling Management for 3D MPSoCs. In IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2237-2240, 2011. PDF
  88. Mohamed Sabry, Ayse K. Coskun, and David Atienza. Fuzzy Control for Enforcing Energy Efficiency in High-Performance 3D Systems. In Proceedings of International Conference on Computer Aided Design (ICCAD), pp. 642-648, 2010. PDF
  89. Ayse K. Coskun, David Atienza, Tajana Simunic Rosing, Thomas Brunschwiler, and Bruno Michel. Energy-Efficient Variable-Flow Liquid Cooling in 3D Stacked Architectures. In Proceedings of Design Automation and Test in Europe (DATE), pp. 111-116, 2010. PDF
  90. Priti Aghera, Dilip Krishnaswamy, Diana Fang, Ayse Coskun, and Tajana Rosing. DynAHeal: Dynamic Energy Efficient Task Assignment for Wireless Healthcare Systems. In Proceedings of Design Automation and Test in Europe (DATE), pp. 1661-1664, 2010. PDF
  91. Shervin Sharifi, Ayse K. Coskun, and Tajana Simunic Rosing. Hybrid Dynamic Energy and Thermal Management in Heterogeneous Embedded Multiprocessors. In Proceedings of IEEE Asia South Pacific Design Automation Conference (ASPDAC), pp. 873-878, 2010. PDF
  92. Ayse K. Coskun, Jose Ayala, Tajana Simunic Rosing, and David Atienza. Modeling and Dynamic Management of 3D Multicore Systems with Liquid Cooling. In Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp. 35-40, 2009. Best Paper Award. PDF
  93.  Francesco Zanini, David Atienza, Ayse K. Coskun, and Giovanni De Micheli. Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers. In Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp. 139-146, 2009. PDF
  94. Ayse K. Coskun, Andrew B. Kahng, and Tajana Rosing. Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures. In Proceedings of Euromicro Conference on Digital System Design (DSD), pp. 183-190, 2009. PDF
  95. Ayse K. Coskun, Richard Strong, Dean Tullsen and Tajana Rosing. Evaluating the Impact of Job Scheduling and Power Management on Processor Lifetime for Chip Multiprocessors. In Proceedings of SIGMETRICS/Performance 2009–Joint International Conference on Measurement and Modeling of Computer Systems, pp.169-180, 2009. PDF
  96. Ayse K. Coskun, Tajana Simunic Rosing, Jose Ayala, David Atienza and Yusuf Leblebici. Dynamic Thermal Management in 3D Multicore Architectures. In Proceedings of Design Automation and Test in Europe (DATE), pp. 1410-1415, 2009. PDF
  97. Ayse K. Coskun, Tajana Simunic Rosing and Kenny Gross. Proactive Temperature Balancing for Low Cost Thermal Management in MPSoCs. In Proceedings of International Conference on Computer-Aided Design (ICCAD), pp. 250-257,2008. PDF
  98. Ayse K. Coskun, Tajana Simunic Rosing and Kenny Gross. Proactive Temperature Management in MPSoCs. In Proceedings of International Symposium on Low Power Electronics and Design (ISLPED), pp. 165-170, 2008. PDF
  99. Ayse K. Coskun, Tajana Simunic Rosing and Kenny Gross. Temperature Management in Multiprocessor SoCs Using Online Learning. In Proceedings of Design Automation Conference (DAC), pp. 890-893, 2008. PDF
  100. Ayse K. Coskun, Tajana Simunic Rosing, Keith Whisnant and Kenny Gross. Temperature-Aware MPSoC Scheduling for Reducing Hot Spots and Gradients. In Proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), pp. 49-54, 2008. PDF
  101. Ayse K. Coskun, Tajana Simunic Rosing and Keith Whisnant. Temperature Aware Task Scheduling in MPSoCs. In Proceedings of Design Automation and Test in Europe (DATE), pp. 1659-1664, 2007. PDF
  102. Satish Narayanasamy, Ayse K. Coskun and Brad Calder. Transient Fault Prediction Based on Anomalies in Processor Events. In Proceedings of Design Automation and Test in Europe (DATE), pp. 1140-1145, 2007. PDF
  103. Ayse K. Coskun, Tajana Simunic Rosing, Yusuf Leblebici and Giovanni De Micheli. A Simulation Methodology for Reliability Analysis in Multi-Core SoCs. In Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), pp. 95-99, 2006. PDF

Technical Reports

  1. Ozan Tuncer, Nilton Bila, Canturk Isci and Ayse K. Coskun. ConfEx: An Analytics Framework for Text-Based Software Configurations in the Cloud. IBM Research Report, 2018. PDF

Posters, Demos and Tutorials

  1. Syed Qasim, Mert Toslali, Quentin Clark, Srinivasan Parthasarathy, Fabio Oliviera, Allen Liu, Gianluca Stringhini, Ayse K.Coskun. Efficient Navigation of Cloud Performance with ’nuffTrace. International Conference on Cloud Engineering (IC2E), September 2023, Boston, USA. PDF
  2. Yajie Zhou, Jason Li, Gianluca Stringhini, Ayse K.Coskun, Zaoxing Liu. Enabling Privacy-preserving Multidimensional
    Network Telemetry with Autoencoders.
    International Conference on Cloud Engineering (IC2E), September 2023, Boston, USA. PDF
  3. Saad Ullah, Ayse K.Coskun, Alessandro Morari, Saurabh Pujar, Gianluca Stringhini. Step-by-Step Vulnerability Detection using Large Language Models, USENIX’23. PDF
  4. Abin B. George, Anthony Byrne, and Ayse K.Coskun. MicroFaaS on OpenFaaS: An Embedded Platform for Running Cloud Functions. In IC2E ’23: International Conference on Cloud Engineering, 2023. PDF
  5. Ayse K. Coskun, Benjamin Schwaller, Burak Aksar, Efe Sencan, Vitus J. Leung, Jim Brandt, Brian Kulis, and Manuel Egele. Machine Learning Based Analytics Towards Automated Computing System Management. In DAC’23: Design and Automation Conference, June 2023. PDF
  6. Efe Sencan, Burak Aksar, Yin-Ching Lee, Richard Chen, and Ayse K. Coskun. Machine Learning-based Performance Analytics in Computer Systems. In DATE’23: Design, Automation and Test in Europe Conference, April 2023. PDF
  7. Zihao Yuan, Prachi Shukla, Sofiane Chetoui, Carlton Knox, Sean Nemtzow, Sherief Reda and Ayse Coskun. PACT: An Extensible Parallel Thermal Simulator for Emerging Integration and Cooling Technologies. In 31st ACM SIGDA University Demonstration at DAC 2021, Dec. 2021. University Demo Best Demonstration, Third Place.
  8. Sadie L. Allen, Anthony Byrne, and Ayse K.Coskun. Version Detection for Software Discovery in the Cloud. In Middleware ’20: International Middleware Conference, Dec. 2020. PDF
  9. Anthony Byrne, Sadie L. Allen, Shripad Nadgowda, and Ayse K. Coskun. Praxi: Cloud Software Discovery That Learns From Practice. In International Middleware Conference Demos and Posters (Middleware Demos and Posters ’19), Dec. 2019. PDF  DEMO
  10. Burak Aksar, Benjamin Schwaller, Omar Aaziz, Emre Ates, Vitus J. Leung, Jim Brandt, Manuel Egele and Ayse K. Coskun. A Machine Learning Approach to Understanding HPC Application Performance Variation. International Conference for HPC, Networking, Storage and Analysis (SC), November 17–22, 2019, Denver, USA. PDF
  11. Onur Sahin, Assel Aliyeva, Hariharan Mathavan, Ayse Coskun, Manuel Egele. Towards Practical Record and Replay for Mobile Applications. In Proceedings of the 56th Annual Design Automation Conference (DAC) – Late Breaking Results, June 2019. PDF

Workshops and Symposia Papers

  1. Mohammadamin Hajikhodaverdian, Zihao Yuan, Sherief Reda, and Ayse K. Coskun. PACT: A SPICE-Based Parallel Compact Thermal
    Simulator for Fast Analysis.
    Workshop on Open-Source Design Automation (OSDA 2024), February 2024. PDF
  2. Daniel C. Wilson, Fatih Acun, Siddhartha Jana, Federico Ardanaz, Jonathan M. Eastep, Ioannis Ch. Paschalidis, and Ayse K. Coskun. An End-to-End HPC Framework for Dynamic Power Objectives. Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis (SC-W 2023), Nov. 2023. Best Paper Award PDF
  3. Burak Aksar, Efe Sencan, Benjamin Schwaller, Vitus J. Leung, Jim Brandt, Brian Kulis, Manuel Egele, and Ayse K. Coskun. Towards Practical Machine Learning Frameworks for Performance Diagnostics in Supercomputers. In Proceedings of the First Workshop on AI for Systems (AI4Sys 2023), August 2023.PDF
  4. Daniel C. Wilson, Asma H. Al-rawi, Lowren H. Lawson, Siddhartha Jana, Federico Ardanaz, Jonathan M. Eastep, and Ayse K. Coskun. Guiding Hardware-Driven Turbo with Application Performance Awareness. In Proceedings of the 13th International Green and Sustainable Computing Conference (IGSC) Energy Efficient HPC State of the Practice Workshop (EE HPC SOP), Oct. 2022. PDF
  5. Prachi Shukla, Vasilis F. Pavlidis, Emre Salman, and Ayse K. Coskun. Temperature-Aware Monolithic 3D DNN Accelerators for Biomedical Applications. In 2022 Design, Automation & Test in Europe Conference (DATE) Workshop on 3D Integration: Heterogeneous 3D Architectures and Sensors, Mar. 2022. arXiv preprint arXiv:2203.15874
  6. Sadie Allen, Mert Toslali, Srinivasan Parthasarathy, Fabio Oliveira, and Ayse K. Coskun. Tritium: A Cross-layer Analytics System for Enhancing Microservice Rollouts in the Cloud. In Proceedings of the Seventh International Workshop on Container Technologies and Container Clouds (WoC ’21), Dec. 2021. PDF
  7. Zihao Yuan, Prachi Shukla, Sofiane Chetoui, Carlton Knox, Sean Nemtzow, Sherief Reda, and Ayse K. Coskun. Towards Fast and Accurate Chip Thermal Simulations with PACT. In Workshop on Open-Source EDA Technology (WOSET), Nov. 2021. PDF
  8. Anthony Byrne, Shripad Nadgowda, and Ayse K. Coskun. ACE: Just-in-time Serverless Software Component Discovery Through Approximate Concrete Execution. In Workshop on Serverless Computing (WoSC), Dec. 2020. PDF GitHub
  9. Emre Ates*, Burak Aksar*, Vitus J. Leung, and Ayse K. Coskun. Explainable Machine Learning Frameworks for
    Managing HPC Systems
    . In Machine Learning for Computing Systems Workshop (MLCS), Nov. 2020. PDF
  10. Mert Toslali, Srinivasan Parthasarathy, Fabio Oliveira, Ayse K. Coskun. JACKPOT: Online Experimentation of Cloud Microservices. In 12th USENIX Workshop on Hot Topics in Cloud Computing (HotCloud), July 2020. PDF Recorded Presentation
  11. Aditya Narayan, Yvain Thonnart, Pascal Vivet, Cesar Fuguet Tortolero and Ayse K. Coskun. Temperature and Process Variation-Aware Wavelength Selection in Photonic NoCs. In Boston Area Architecture Workshop (BARC), Jan. 2019. PDF
  12. Tapasya Patki, Emre Ates, Ayse K. Coskun and Jayaraman J. Thiagarajan. Understanding Simultaneous Impact of Network
    QoS and Power on HPC Application Performance.
    In Computational Reproducibility at Exascale (CRE), in conjunction with SC Nov. 2018. PDF
  13. Zihao Yuan, Geoffrey Vaartstra, Prachi Shukla, Sherief Reda, Evelyn Wang, and Ayse K. Coskun. An EDA Tool for Codesigning High-Performance Processors and Emerging Cooling Technologies. In Workshop on Open-Source EDA Technology (WOSET), Oct. 2018. PDF
  14. Ozan Tuncer, Nilton Bila, Sastry Duri, Canturk Isci and Ayse K. Coskun. ConfEx: Towards Automating Software Configuration Analytics in the Cloud. In IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W), June 2018. PDF
  15. Ayse Coskun, Furkan Eris, Ajay Joshi, Andrew B. Kahng, Yenai Ma, Saiful Mojumder and Tiansheng Zhang. Reclaiming Dark Silicon Using Thermally-Aware Chiplet Organization in 2.5D Integrated Systems. In Boston Area Architecture Workshop (BARC), Jan. 2018. PDF
  16.  Aditya Narayan, Tiansheng Zhang, Shaizeen Aga, Satish Narayanasamy and Ayse K. Coskun. An Automated Framework for Memory Allocation in Heterogeneous Memory Systems. In Boston Area Architecture Workshop (BARC), Jan. 2018.
  17. Trishita Tiwari, Ata Turk, Alina Oprea, Katzalin Olcoz, and Ayse K. Coskun. User-Profile-Based Analytics for Detecting Cloud Security Breaches. In IEEE Big Data Workshop on Privacy and Security of Big Data (PSBD), pp. 4529-4535, June 2017. PDF
  18. Ata Turk, Hao Chen, Ozan Tuncer, Hua Li, Qingqing Li, Orran Krieger and Ayse K. Coskun. Seeing into a Public Cloud: Monitoring the Massachusetts Open Cloud. In USENIX Workshop on Cool Topics on Sustainable Data Centers (CoolDC), March 2016. PDF
  19. Onur Sahin, Paul Varghese and Ayse K. Coskun. Just Enough is More: Achieving Sustainable Performance in Mobile Devices under Thermal Limitations. In Boston Area Architecture Workshop (BARC), Jan. 2016.
  20. Fulya Kaplan and Ayse K. Coskun. Adaptive Sprinting for Systems with Phase Change Based Cooling. In Boston Area Architecture Workshop (BARC), Jan. 2016.
  21. Tiansheng Zhang and Ayse K. Coskun. Resource Management Design in 3D-Stacked Multicore Systems for Improving Energy-Efficiency. In Boston Area Architecture Workshop (BARC), Jan. 2015. PDF
  22. Can Hankendi, Henry Hoffmann and Ayse K. Coskun. Adapt&Cap: A Framework for Unifying System and Application-level Adaptive Management. In Boston Area Architecture Workshop (BARC), Jan. 2015. PDF
  23. Can Hankendi and Ayse K. Coskun. Adaptive Power and Resource Management Techniques for Multi-threaded Workloads. In Proceedings of IEEE International Parallel and Distributed Processing Symposium (Workshops and PhD Forum), Jan. 2013. PDF
  24. David Mace, Wei Gao and Ayse K. Coskun. Improving Accuracy and Practicality of Accelerometer-Based Hand Gesture Recognition. In 2nd Workshop on Interacting with Smart Objects, in conjunction with the ACM International Conference on Intelligent User Interfaces (IUI), March 2013. PDF
  25. Can Hankendi and Ayse K. Coskun. Adaptive Energy-Efficient Resource Sharing for Multi-threaded Workloads in Virtualized Systems. In International Workshop on Computing in Heterogeneous, Autonomous ‘N’ Goal-Oriented Environments (CHANGE), in conjunction with Design Automation Conference (DAC), 2012. PDF
  26.  John-Nicholas Furst and Ayse K. Coskun. Performance and Power Analysis of RCCE Message Passing on the Intel Single-Chip Cloud Computer. In Proceedings of Symposium on Many-core Applications Research Community (MARC), p. 27, Dec. 2012. PDF
  27.  Jie Meng, Daniel Rossell, and Ayse K. Coskun. 3D Systems with On-Chip DRAM for Enabling Low-Power High-Performance Computing. In Proceedings of IEEE High Performance Embedded Computing (HPEC) Workshop, pp. 1-4, Sep. 2011. Best Paper Award. PDF
  28. Md. Ashfaquzzaman Khan, Can Hankendi, Ayse K. Coskun, and Martin C. Herbordt. Application Level Optimizations for Energy Efficiency and Thermal Stability. In Proceedings of the High Performance Embedded Computing (HPEC) Workshop, 2011. PDF
  29. Jie Meng, Daniel Rossell, and Ayse K. Coskun. Exploring performance, power, and temperature characteristics of 3D systems with on-chip DRAM. In Proceedings of IEEE International Green Computing Conference and Workshops (IGCC),  pp. 1-6, Jul. 2011. PDF
  30. Md. Ashfaquzzaman Khan, Can Hankendi, Ayse K. Coskun and Martin C. Herbordt. Software Optimization for Performance, Energy, and Thermal Distribution: Initial Case Studies. In Proceedings of IEEE International Green Computing Conference and Workshops (IGCC), pp. 1-6, Jul. 2011. PDF

arXiv Papers

  1. Saad Ullah, Mingji Han, Saurabh Pujar, Hammond Pearce, Ayse K. Coskun, Gianluca Stringhini. Can Large Language Models Identify And Reason About Security Vulnerabilities? Not Yet. arXiv preprint arXiv:2312.12575, Dec. 2023. PDF
  2. Aditya Narayan, Yvain Thonnart, Pascal Vivet, Ayse K. Coskun and Ajay Joshi. Architecting Optically-Controlled Phase Change Memory. arXiv:2107.11516 [cs.AR]
  3. Ozan Tuncer, Anthony Byrne, Nilton Bila, Sastry Duri, Canturk Isci and Ayse K. Coskun. ConfEx: A Framework for Automating Text-based Software Configuration Analysis in the Cloud. arXiv:2008.08656 [cs.SE]
  4. Emre Ates, Burak Aksar, Vitus J. Leung and Ayse K. Coskun. Counterfactual Explanations for Machine Learning on Multivariate Time Series Data. arXiv, 2020. Pre-print

Other Articles

  1. Natalie Bates, Ghaleb Abdulla, Girish Ghatikar, Gregory A. Koenig, Sridutt Bhalachandra, Mehdi Sheikhalishahi, Tapasya Patki, Barry Rountree, and Stephen Poole. Electrical Grid and Supercomputing Centers: An Investigative Analysis of Emerging Opportunities and Challenges. Informatik-Spektrum, April 2015, Volume 38, Issue 2, pp 111-127. Publisher’s Page
  2. Ayse K. Coskun and Tajana Simunic Rosing. Improving Energy Efficiency and Reliability through Workload Scheduling in High-Performance Multicore Processors. DAC.COM Knowledge Center Article, 2010.

Patents

  1. Anthony C. Byrne, Shripad Nadgowda, and Seetharami R. Seelam. Identification of Components Used in Software Binaries through Approximate Concrete Execution. U.S. Patent 11,074,055. Issued 7/2021.
  2. Kalyan Vaidyanathan, Kenny C. Gross, David Belanger, Ayse K. Coskun. System and Method for Controlling Energy Usage in a Server. U.S. Patent 10,101,784. Issued 10/2018.
  3. Ayse K. Coskun, Kenny Gross and Keith Whisnant. Temperature-Aware and Energy-Aware Scheduling in a Computer System. U.S. Patent 8,555,283. Issued 10/2013.
  4. Kenny Gross, Ayse K. Coskun, Keith Whisnant and Aleksey M. Urmanov. Method and Apparatus for Controlling Temperature Variations in a Computer System. U.S. Patent 8,046,112. Issued 11/2011.
  5. Ayse K. Coskun and Kenny Gross. Managing the Performance of a Computer System. U.S. Patent 7,890,298. Issued 02/2011.
  6. Ayse K. Coskun, Aleksey M. Urmanov, Kenny Gross and Keith Whisnant. Workload Scheduling in Multi-Core Processors. U.S. Patent 7,716,006. Issued 05/2010.
  7. Kenny Gross, Keith Whisnant and Ayse K. Coskun. Length-of-the-Curve Stress Metric for Improved Computer System Reliability Characterization. U.S. Patent 7,483,816. Issued 01/2009.

Theses

  1. Daniel C. Wilson. Performance-Aware Site-Wide Data Center Power Management. 2023 Abstract
  2. Mert Toslali. Efficient Navigation of Performance Unpredictability in Cloud Through Automated Analytics Systems. 2023 Abstract
  3. Prachi Shukla. Temperature-aware 3D-integrated systolic array DNN accelerators. 2023 Abstract
  4. Athanasios Tsiligkaridis. Data science approaches to data center sustainability and transportation predictive analytics. 2023
  5. Zihao Yuan. Modeling and optimization of emerging on-chip cooling technologies via machine learning 2022 PDF
  6. Aditya Narayan. Energy-efficient architectures for chip-scale networks and memory systems using silicon-photonics technology. 2021. Outstanding CE Dissertation Award. PDF
  7. Yijia Zhang. Intelligent middleware for HPC systems to improve performance and energy cost efficiency. 2021. Societal Impact Award. PDF
  8. Emre Ates. Automating Telemetry- and Trace-Based Analytics on Large-Scale Distributed Systems. 2020. PDF
  9. Onur Sahin. Efficient Runtime Management for Enabling Sustainable Performance in Real-World Mobile Applications. 2019. PDF
  10. Ozan Tuncer. Improving Efficiency and Resilience in Large-Scale Computing Systems through Analytics and Data-Driven Management. 2018. Outstanding PhD Dissertation in CE award. PDF
  11. Fulya Kaplan. Improving Processor Efficiency Through Thermal Modeling and Runtime Management of Hybrid Cooling Strategies. 2017. PDF
  12. Tiansheng Zhang. Resource and Thermal Management in 3D-Stacked Multi-/Many-Core Systems. 2017. PDF
  13. Hao Chen. Improving Data Center Efficiency Through Smart Grid Integration and Intelligent Analytics. 2016. Outstanding PhD Dissertation in CE award. PDF
  14. Can Hankendi. Adaptive Runtime Techniques for Power and Resource Management on Multi-core Systems. 2015. PDF
  15. Jie Meng. Modeling and Optimization of High-Performance Many-Core Systems for Energy-Efficient and Reliable Computing. 2013. Outstanding PhD Dissertation in CE award. PDF

  1. Ayse K. Coskun. Efficient Thermal Management for Multiprocessor Systems. 2009. PDF

Copyright Notice: The material above is presented to ensure timely dissemination of scholarly and technical work. Copyright and all rights therein are retained by authors or by other copyright holders. All persons copying this information are expected to adhere to the terms and constraints invoked by each author’s copyright. In most cases, these works may not be re-posted without the explicit permission of the copyright holder.